CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LCD display verilog

搜索资源列表

  1. 用verilog编写的液晶显示程序

    0下载:
  2. 用verilog编写的液晶显示程序,已调试通过。 1、 本工程主要是设计一个LCD的控制模块,然后在LCD上显示想要显示的数据。  2、 通过JTAG口把LCD12864.sof下载到FPGA上,则LCD就会显示出要显示的数据。-Written liquid crystal display with verilog program has been through debugging. 1, this project is to design a LCD control module, a
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-09
    • 文件大小:1089334
    • 提供者:merlin
  1. LCD.LCD显示的完整代码

    1下载:
  2. LCD显示的完整代码,采用Verilog编写!!!!!!!!,LCD display complete code, the use of Verilog to prepare
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-23
    • 文件大小:405067
    • 提供者:陈成
  1. Libra_ps2key_lcd.rar

    0下载:
  2. 用Verilog语言实现的PS2小键盘输入和1602 LCD显示的功能。无需修改,已经调试通过了。直接可以当成一个模块用于FPGA/CPLD系统开发过程。 这个代码是我在Libra环境下开发Actel FPGA时写的。,Verilog language using the PS2 keyboard and a small 1602 LCD display features. No changes have been adopted debugging. Directly as a module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:5877
    • 提供者:赵二虎
  1. OV7620_TEST

    2下载:
  2. FPGA驱动OV7620程序代码,SCCB部分由单片机完成,FPGA负责完成图像处理和TFT液晶的显示。经试验,效果不错!-FPGA-driven OV7620 code, SCCB completed in part by the microcontroller, FPGA responsible for the completion of image processing and TFT LCD display. The test, good results!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-02
    • 文件大小:5714944
    • 提供者:李瑞全
  1. verilog

    0下载:
  2. 主要包含了用verilog语言别写的实用于视频例如LCD等显示设备的音频与视频的控制系统,其中包括了延时代码的编写模块,希望对坐显示的有所帮助!-It contains the verilog language with written and practical at the videos of other LCD and other display devices such as audio and video control systems, including the delayed p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:9228
    • 提供者:熊文
  1. lcd_test

    0下载:
  2. Xilinx Spartan-3E实验板上基于verilog控制lcd屏幕A到Z反复轮转显示。-Xilinx Spartan-3E verilog based test control board lcd screen A to Z repeated rotary display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:999074
    • 提供者:陈海凯
  1. 1602LCD-Verilog

    0下载:
  2. 用FPGA控制在LCD1602上显示一段字符串。可以对LCD1602的控制有更深的了解-Using FPGA to control the LCD1602 display a string. LCD1602 can have a better understanding of the control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:299089
    • 提供者:马辛未
  1. 1602

    0下载:
  2. verilog HDL语言编写的完整工程,功能是点亮1602lcd,在lcd上显示英文和数字-verilog HDL languages complete works, the functions of light 1602lcd, in the lcd display in English and the number of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:331680
    • 提供者:sky
  1. LCD

    1下载:
  2. DE2板上的LCD显示器驱动程序和相应的测试程序,verilog语言写的。-DE2 LCD display driver board and the corresponding test procedures, verilog language to write.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:1558
    • 提供者:陶陶
  1. lcdfinal

    0下载:
  2. LCD显示,用verilog写的,quartus-LCD display,verilog,quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:510572
    • 提供者:amy
  1. LCD

    0下载:
  2. 利用FPGA和硬件描述语言来控制字符型液晶显示器的读写-The use of FPGA and hardware descr iption language to control the read and write character LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2669146
    • 提供者:qinkui
  1. DE2_70_TV

    0下载:
  2. --- --- --- -Verilog--- --- ---- This design converts DVD video into a format suitable for display on a CRT/LCD monitor. A DVD video source, such as a DVD player, should be connected to the VIDEO IN port on the DE2-70 board. A CRT/LCD monitor shoul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:168888
    • 提供者:Sami
  1. LCD_Top

    0下载:
  2. FPGA 的verilog LCD显示代码-FPGA code in verilog LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2758
    • 提供者:xcxscf
  1. 1602LCD

    0下载:
  2. 1602lcd 显示程序,用Verilog语言编写,经测试程序运行没有问题!-1602lcd display program, with the Verilog language, tested program is running there is no problem!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:70361
    • 提供者:韩瑞
  1. 18B20LCD1602temperaturedisplayexperiment

    0下载:
  2. LCD1602液晶显示屏显示18B20温度传感器数据实验-LCD1602 LCD display shows the temperature sensor 18B20 data experiments
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1651
    • 提供者:aquan0705
  1. LCD1602_Verilog

    0下载:
  2. 1602液晶显示字符串..用FPGA来控制1602液晶显示.-1602 LCD display with a FPGA to control the string .. 1602 LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:897226
    • 提供者:罗小明
  1. DE1-Practice-VGA-display-

    0下载:
  2. 用altera的fpga设计的DE1开发板作为硬件平台实现VGA显示,verilog实现的,8种色彩,作为fpga驱动vga液晶的入门。DE1实践之VGA显示(8bit色彩)-Altera fpga design with the DE1 board as a hardware platform development VGA display, verilog implementation, 8 colors, as the introduction to fpga driver vga LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:13361
    • 提供者:wuwei
  1. FPGA-verilog-LCD-display

    0下载:
  2. FPGA实现LCD显示文字,是利用verilog HDL实现的,非常适合初学者,简单易懂。可以用来开发与此类似的功能-FPGA Implementation of LCD display text, is implemented using verilog HDL, is ideal for beginners, easy to understand. Can be used to develop and function like this
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:421455
    • 提供者:范范
  1. UART-DISPLAY

    0下载:
  2. lcd 显示,Verilog语言,串口接收数据,并在LCD中显示,波特率9600,包括主文件,LCD控制文件,波特率发生文件-lcd display Verilog language, serial port to receive data, and the LCD display, baud rate of 9600, including the master file, the LCD control file, the baud rate generator file
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:533297
    • 提供者:jsquare
  1. verilog-HDL--LCD-display-

    0下载:
  2. 用verilog HDL 实现LCD显示-using verilog HDL to LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-31
    • 文件大小:2092
    • 提供者:gx
« 12 3 4 5 6 »
搜珍网 www.dssz.com